يعرض 1 - 10 نتائج من 509 نتيجة بحث عن '"Recombinant Escherichia-Coli"', وقت الاستعلام: 1.05s تنقيح النتائج
  1. 1
    دورية أكاديمية
  2. 2
    دورية أكاديمية

    المساهمون: (USP) Universidade de São Paulo, Lopes, Alexandre Paulo Yague|:Pesquisador|:(LDV) Lab. Desenvolvimento de Vacinas, Gonçalves, Viviane Maimoni|:Pesquisador|:(LDV) Lab. Desenvolvimento de Vacinas:Autor de correspondência, (LDV) Lab. Desenvolvimento de Vacinas

    العلاقة: Frontiers in Bioengineering and Biotechnology; Front Bioeng Biotechnol; https://repositorio.butantan.gov.br/handle/butantan/5241Test; https://doi.org/10.3389/fbioe.2023.1304965Test; 11; v. 11, 1304965, jan. 2024; 2024 Jan; 11:1304965; BR78.1; IBProd

  3. 3
    دورية أكاديمية
  4. 4
    دورية أكاديمية
  5. 5
    دورية أكاديمية
  6. 6
    دورية أكاديمية

    المساهمون: (USP) Universidade de São Paulo, Zane, Luciano|:Doutorado Externo|:(LDV) Lab. Desenvolvimento de Vacinas, Kraschowetz, Stefanie|:Aluno Externo|:(LDV) Lab. Desenvolvimento de Vacinas, Trentini, Monalisa Martins|:Pós-Doc|:Programa de Pós-Doutorado|:(LDV) Lab. Desenvolvimento de Vacinas, Alves, Vitor dos Santos|:Doutorado Externo|:(LDV) Lab. Desenvolvimento de Vacinas, Araujo, Sergio Carneiro|:Doutorado Externo|:(LDV) Lab. Desenvolvimento de Vacinas, Goulart, Cibelly|:Doutorado Externo|:(LDV) Lab. Desenvolvimento de Vacinas, Leite, Luciana Cezar de Cerqueira|:Pesquisador|:(LDV) Lab. Desenvolvimento de Vacinas, Gonçalves, Viviane Maimoni|:Pesquisador|:(LDV) Lab. Desenvolvimento de Vacinas|:Autor de correspondência, (LDV) Lab. Desenvolvimento de Vacinas, Programa de Pós-Doutorado

    العلاقة: Frontiers in Bioengineering and Biotechnology; Front Bioeng Biotechnol; Zane L, Kraschowetz S, Trentini MM, Alves VS, Araujo SC, Goulart C, et al. Peptide linker increased the stability of pneumococcal fusion protein vaccine candidate. Front in Bioeng and Biotec. 2023 Jan; 11:1108300. doi:10.3389/fbioe.2023.1108300.; https://repositorio.butantan.gov.br/handle/butantan/4808Test; 11; v. 11, 1108300, jan. 2023; 2023 Jan; 11:1108300; BR78.1; IBProd

  7. 7
    دورية أكاديمية
  8. 8
    دورية أكاديمية
  9. 9
  10. 10
    دورية أكاديمية