يعرض 1 - 2 نتائج من 2 نتيجة بحث عن '"Yan-An Wu"', وقت الاستعلام: 0.56s تنقيح النتائج
  1. 1
    مؤتمر
  2. 2

    المؤلفون: 巫彥儒, Yan-Zu Wu

    المساهمون: 鍾葉青, Yeh-Ching Chung

    الوقت: 2

    وصف الملف: 155 bytes; text/html

    العلاقة: [1] A. Aleta, J. M. Condina, A. Gonzalez, D. Kaeli, “Removing Communications in Clustered Microarchitectures through Instruction Replication”, ACM Transactions on Architecture and Code Optimization (TACO), vol. 1, issue 2, June 2004, pp. 127-151. [2] A. Capitanio, N. Dutt, A. Nicolau, “Partitioned register files for VLIWs: a preliminary analysis of tradeoffs”, in Proceedings of the 25th annual international symposium on Microarchitecture, MICRO 25, Dec. 1992, pp. 292-300. [3] CCCP research group, “Compilers Creating Custom Processors”, http://cccp.eecs.umich.eduTest. [4] G. J. Chaitin, “Register allocation and spilling via graph coloring”, in Proceeding of the ACM SIGPLAN 82 Symposium on Compiler Construction, June 1982, pp. 98-105. [5] M. Chu, K. Fan, R. Ravindran, S. Mahlke, “Cost-Sensitive Operation Partitioning in an Architecture Synthesis System for Multicluster Processors”, IEEE Micro, vol. 24, no. 3, May/June 2004, pp. 10-20. [6] G. Desoli, “Instruction assignment for clustered VLIW DSP compilers: A new approach”, Technical Report HPL-98-13, Hewlett-Pachard Laboratories, Feb. 1998. [7] J. Ellis, “Bulldog: A Compiler for VLIW Architectures”, MIT Press, MA, 1985. [8] P. Faraboschi, G. Brown, J. A. Fisher, G. Desoll, F. M. O. Homewood, “Lx: a technology platform for customizable VLIW embedded processing”, in Proceedings of the 27th International Symposium on Computer Architecture, 2000, pp. 203-213. [9] J. Fridman, Z. Greenfield, “The TigerSHARC DSP architecture”, IEEE Micro, vol. 20, issue 1, Jan. 2000, pp. 66-76. [10] A. Gangwar, M. Balakrishnan, A. Kumar, "Impact of Inter-cluster Communication Mechanisms on ILP in Clustered VLIW Architectures", In 2nd Workshop on Application Specific Processors (WASP-2), in conjuction with 36th IEEE/ACM Annual International Symposium on Microarchitecture (MICRO-36), Dec 2003. [11] A. Gangwar, M. Balakrishnan, P. R. Panda, A. Kumar, “Evaluation of bus based interconnect mechanisms in clustered VLIW architectures”In Proceedings of 2005 Design, Automation and Test in Europe, 2005, vol. 2, pp. 730–735. [12] M. R. Garey, D. S. Johnson,”Computers and Intractability: A Guide to the Theory of NP-Completeness”, W. H. Freeman & Co., New York, NY, 1979. [13] E. Gibert, J. Sanchez, A. Gonzalez, “Distributed data cache designs for clustered VLIW processors”, IEEE Transactions on Computers, vol. 54, issue 10, Oct. 2005, pp. 1227-1241. [14] J. Hiser, S. Carr, P, Sweany, “Global register partitioning”, in Proceedings of International Conference on Parallel Architectures and Compilation Techniques, Oct. 2000, pp. 13-23. [15] R. Ho, K. W. Mai, M. A. Horowitz, “The future of wires”, Proceedings of the IEEE, vol. 89, issue 4, April 2001, pp. 490-504. [16] M. Jayapala, F. Barat, T. A. Vander, F. Catthoor, H. Corporaal, G. Deconinck, “Clustered loop buffer organization for low energy VLIW embedded processors”, IEEE Transactions on Computers, vol. 54, Issue 6, Jun. 2005, pp. 672-683. [17] K. Kailas, K. Ebcioglu, A. Agrawala, “CARS: a new code generation framework for clustered ILP processors”, in Proceedings of the Seventh International Symposium on High-Performance Computer Architecture, HPCA’01, Jan. 2001, pp.133–143. [18] K. Kailas, M. Franklin, K. Ebcioglu, “A Register File Architecture and Compilation Scheme for Clustered ILP Processors”, in Proceedings of the 8th International Euro-Par Conference on Parallel Processing, Aug. 2002, pp. 500-511 [19] V. Kathail, M. S. Schlansker, B. R. Rau, “HPL-PD Architecture Specification: Version 1.1”, Technical Report HPL-93-80 (R.1), Hewlett-Pachard Laboratories, Feb. 2000. [20] R.E. Kessler, “The Alpha 21264 microprocessor”, IEEE Micro, vol 19, issue 2, March 1999, pp. 24-36. [21] V. S. Lapinslii, M. F. Jacome, F. A. De Veciana, “Cluster assignment for high-performance embedded VLIW processors”, ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 7, issue 3, July 2002, pp. 430-454. [22] C. Lee, M. Potkonjak and W. H. Mangione-Smith, “MediaBench: a tool for evaluating and synthesizing multimedia and communicatons systems”, in Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture, Dec. 1997, pp. 330-350. [23] T.J. Lin, C.C. Lee, C.W. Liu, C.W. Jen, “A novel register organization for VLIW digital signal processors”, in Proceedings of 2005 IEEE VLSI-TSA International Symposium on VLSI Design, Automation and Test, VLSI-TSA-DAT, April 2005, pp. 337-340. [24] Y.C. Lin, Y.P. You, J. K. Lee, “Register Allocation for VLIW DSP Processors with Irregular Register Files”, in Proceedings of Compilers for Parallel Computers, CPC'06, Jan. 2006, pp. 45-59. [25] P. Mattson, W. J. Dally, S. Rixner, U. J. Kapasi, J. D. Owens, “Communication scheduling”, in Proceedings of the 9th international Conference on Architectural Support for Programming Languages and Operating Systems, Nov. 2000, pp. 82-92. [26] R. Nagpal, Y. N. Srikant, “Integrated temporal and spatial scheduling for extended operand clustered VLIW processors”, in Proceedings of the 1st Conference on Computing Frontiers, Apr. 2004, pp. 457-470. [27] S. Narayanasamy, W. Hong, P. Wang, J. Shen, B. Calder, “A Dependency Chain Clustered Microarchitecture”, In Proceedings of 19th IEEE International Symposium on Parallel and Distributed Processing, IPDPS’05, Apr. 2005, pp. 21.2. [28] J. M. Parcerisa, J. Sahuquillo, A. Gonzalez, J. Duato, “On-chip interconnects and instruction steering schemes for clustered microarchitectures”, IEEE Transactions on Parallel and Distributed Systems, vol.16, issue 2, Feb. 2005, pp.130-144. [29] S. Rixner, W. J. Dally, B. Khailany, P. Mattson, U. J. Kapasi, J. D. Owens, “Reigister Organization for Media Processing”, in Proceedings of the 6th International Symposium on High Performance Computer Architecture, HPCA-6, Jan. 2000, pp. 375-386. [30] S. Sudharsanan, P. Sriram, H. Frederickson, A. Gulati, “Image and video processing using MAJC 5200”, in Proceedings of International Conference on Image Processing, vol. 3, Sept. 2000, pp. 122-125. [31] A. Terechko, M. Garg, H. Corporaal, “Evaluation of speed and area of clustered VLIW processors”, in Proceedings of 18th International Conference on VLSI Design, Jan. 2005, pp. 557 – 563. [32] A. Terechko, E. Le Thenaff, H. Corporaal, “Cluster assignment of global values for clustered VLIW processors”, in Proceedings of the 2003 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, Oct. 2003, pp. 32-40. [33] A. Terechko, E. Le Thenaff, M. Garg, J. van Eijndhoven, H. Corporaal, “Inter-cluster communication models for clustered VLIW processors”, In Proceedings of The Ninth International Symposium on High-Performance Computer Architecture, HPCA-9 2003, Feb. 2003, pp. 354-364. [34] Texas Instruments Inc., “TMS320C6000 CPU and Instruction Set Reference Guide”, 2000. [35] H. Topcuoglu, B. Demiroz, M. Kandemir, “A Hybrid Evolutionary Algorithm for Solving the Register Allocation Problem”, in Proceedings of the 4th European Workshop on Evolutionary Computation in Combinatorial Optimization, EvoCOP’ 04, Apr. 2004, pp. 62-71. [36] Trimaran Consortium, The Trimaran Compiler Infrastructure, http://www.trimaran.orgTest, 1998. [37] J. Zalamea, J. Llosa, E. Ayguade, M. Valero, “Modulo scheduling with integrated register spilling for clustered VLIW architectures”, in Proceedings of 34th ACM/IEEE International Symposium on Microarchitecture, MICRO-34, Dec. 2001, pp. 160-169. [38] J. Zalamea, J. Llosa, E. Ayguade, M. Valero, “Two-level hierarchical register file organization for VLIW processors”, in Proceedings of 33rd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-33, Dec. 2000, pp. 137-146. [39] J. Zalamea, J. Llosa, E. Ayguade, M. Valero, “Hierarchical clustered register file organization for VLIW processors”, in Proceedings of 17th International Symposium on Parallel and Distributed Processing, April. 2003, pp. 77.1. [40] Y. Zhang, H. He, Y. Sun, “A new register file access architecture for software pipelining in VLIW processors”, in Proceedings of the 2005 Conference on Asia and South Pacific Design Automation, ASP-DAC 2005, vol. 1, Jan. 2005, pp. 627-630. [41] H. Zhong, K. Fan, S. Mahlke, M. Schlansker, “A distributed control path architecture for VLIW processors”, in Proceedings of 14th International Conference on Parallel Architectures and Compilation Techniques, PACT 2005, Sept. 2005, pp. 197-206.; http://nthur.lib.nthu.edu.tw/dspace/handle/987654321/33639Test